A Synthesizable Low Power VHDL Model of the Exact Solution of Three Dimensional Hyperbolic Positioning System

,
,

Keywords: ,

This paper presents a synthesizable low power VHDL model of a three-dimensional hyperbolic positioning system algorithm. The algorithm derives the equations needed to obtain an exact solution for the three dimensional location of a mobile given the locations of four fixed stations (like a GPS satellite or a base station in a cell) and the signal time of arrival (TOA) from the mobile to each station. The VHDL model of the algorithm was implemented and tested using the IEEE numeric_std package. The model can be easily synthesized for hardware implementation.

PDF of paper:


Journal: TechConnect Briefs
Volume: Technical Proceedings of the 2000 International Conference on Modeling and Simulation of Microsystems
Published: March 27, 2000
Pages: 225 - 228
Industry sector: Sensors, MEMS, Electronics
Topic: Modeling & Simulation of Microsystems
ISBN: 0-9666135-7-0